Error (10482): VHDL error at MUX4_1.vhd(18): object "STD_LOGIC" is used but not declared如题,这是一个利用计数器控制多路选择器来实现时分复用的程序,到底哪里出问题了啊?求大神指导

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/04 11:56:25
Error (10482): VHDL error at MUX4_1.vhd(18): object

Error (10482): VHDL error at MUX4_1.vhd(18): object "STD_LOGIC" is used but not declared如题,这是一个利用计数器控制多路选择器来实现时分复用的程序,到底哪里出问题了啊?求大神指导
Error (10482): VHDL error at MUX4_1.vhd(18): object "STD_LOGIC" is used but not declared

如题,这是一个利用计数器控制多路选择器来实现时分复用的程序,

到底哪里出问题了啊?求大神指导


Error (10482): VHDL error at MUX4_1.vhd(18): object "STD_LOGIC" is used but not declared如题,这是一个利用计数器控制多路选择器来实现时分复用的程序,到底哪里出问题了啊?求大神指导
在描述中,不要将std_logic_vector类型与bit_vector类型混用.将程序包traffic_package中的bit_vector类型改为std_logic_vector类型,将结构体behav中的signal current_state也改为std_logic_vector类型.而且变量也不能在结构体中声明.